OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 5455|回复: 5

[其他] modelsim仿真,之前都是对的,波形都出来了,现在仿真不了了

[复制链接]

7

主题

31

帖子

0

精华

新手上路

积分
40
金钱
40
注册时间
2019-10-17
在线时间
16 小时
发表于 2020-7-9 11:09:02 | 显示全部楼层 |阅读模式
1金钱
3%L{Y54D%R(4)VL2J9PR]Z8.png 就是这样,代码,工程都是对的,因为我已经在另外一台电脑上试过了,也能出波形,但是在我的电脑上面就是仿真不了,也不报错。不知道为什么。另外我发帖,这个图片怎么每次都出不来呢
{)5{LHJZA9E8X%1QQWD@}_8.png

最佳答案

查看完整内容[请看2#楼]

解决方法是关掉防火墙
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1979

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5520
金钱
5520
注册时间
2018-10-21
在线时间
1561 小时
发表于 2020-7-9 11:09:03 | 显示全部楼层
解决方法是关掉防火墙
回复

使用道具 举报

109

主题

5554

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
10501
金钱
10501
注册时间
2017-2-18
在线时间
1902 小时
发表于 2020-7-9 19:11:31 | 显示全部楼层
帮顶~~
回复

使用道具 举报

7

主题

31

帖子

0

精华

新手上路

积分
40
金钱
40
注册时间
2019-10-17
在线时间
16 小时
 楼主| 发表于 2020-7-10 00:08:02 | 显示全部楼层

能帮我解决下吗?我弄了两天了,绝望了,重装也没用,换了版本也没用
回复

使用道具 举报

7

主题

31

帖子

0

精华

新手上路

积分
40
金钱
40
注册时间
2019-10-17
在线时间
16 小时
 楼主| 发表于 2020-7-12 18:17:18 | 显示全部楼层

SE4ASV_Q~`~1Z55`94]IV%B.png 是这样的,等了好久出来这么一个错误
回复

使用道具 举报

7

主题

31

帖子

0

精华

新手上路

积分
40
金钱
40
注册时间
2019-10-17
在线时间
16 小时
 楼主| 发表于 2020-7-14 21:18:38 | 显示全部楼层
QinQZ 发表于 2020-7-9 11:09
解决方法是关掉防火墙

大哥,你知道怎么样可以在quartus调用ip核的情况下联合仿真吗??为什么别人都可以,而我只能手动仿真,我换了版本的quartus和modelsim也还是一样的,只能手动仿真
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 11:18

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表