OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 4903|回复: 0

FPGA 图像处理 直方图灰度拉伸的问题

[复制链接]

1

主题

3

帖子

0

精华

新手入门

积分
9
金钱
9
注册时间
2019-6-16
在线时间
1 小时
发表于 2019-6-28 09:33:19 | 显示全部楼层 |阅读模式
1金钱
      本人目前用FPGA做一个图像处理并VGA显示的东西,中间用到直方图统计并灰度拉伸,使图像对比度提高。现在遇到一个问题,取统计的5%和95%的灰度值作为阈值,当当前像素值来到的时候,以当前灰度值做一个条件判断,(是否灰度值在阈值范围内),想着不在范围内的置0和255,(14位的灰度值想压缩成8位的)。对当前像素灰度不加判断的话,图像可以显示,不过会出现灰度反转;但是加了一行判断范围的代码后,图像整个发白了。搞不懂,求指教,谢谢。
      添加的代码如图片所示,data_out[0]使经过拉伸后的灰度值【22位宽,实际数据的话只有8位宽】
      捕获.PNG

正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 07:22

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表