OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 5319|回复: 1

Quartus II的rom IP核读取一位数据问题。

[复制链接]

4

主题

13

帖子

0

精华

新手上路

积分
27
金钱
27
注册时间
2019-3-11
在线时间
4 小时
发表于 2019-3-15 11:10:28 | 显示全部楼层 |阅读模式
3金钱
使用Quartus II 13.0软件的ROM IP核,在rom当中存储波形文件,然后使用modelsim软件观察读取的数据是否正确,现在想要存储的波形数据是一系列脉冲信号,也就是rom的数据宽度为1位,每一位的数据值为 0 或者 1 。
但是在读取的时候出现差错,读出数据值全为 0 .

注:该方法已经验证过读取rom中数据宽度为16位的正弦信号数据,亲测可用。

请问问题可能出在哪里?

最佳答案

查看完整内容[请看2#楼]

已解决:更改初始化(.mif)文件的位置,将文件放着工程根目录下即可。
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

4

主题

13

帖子

0

精华

新手上路

积分
27
金钱
27
注册时间
2019-3-11
在线时间
4 小时
 楼主| 发表于 2019-3-15 11:10:29 | 显示全部楼层
已解决:更改初始化(.mif)文件的位置,将文件放着工程根目录下即可。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-10-3 05:33

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表