OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 8907|回复: 6

ModelSim-Altera 10.0d (Quartus II 12.0) Starter Edition出现问题,求指教!

[复制链接]

13

主题

33

帖子

0

精华

初级会员

Rank: 2

积分
105
金钱
105
注册时间
2012-11-30
在线时间
0 小时
发表于 2014-3-19 11:54:04 | 显示全部楼层 |阅读模式
主程序:
module Data_Select(
select_in,
data_out  
                );
 
input [1:0] select_in;
output reg [3:0] data_out;

always@(select_in)
 begin 
      case (select_in)
2'b00:data_out<=4'b0001;
2'b01:data_out<=4'b0010;
2'b10:data_out<=4'b0100;
2'b11:data_out<=4'b1000;
default: ;
      endcase 
end 
 
endmodule 

testbench:
`timescale 1 ns/ 1 ns
module Data_Select_vlg_tst();

reg [1:0] select_in;
// wires                                               
wire [3:0]  data_out;
                          
Data_Select i1 (  
.data_out(data_out),
.select_in(select_in)
);
initial                                                
begin                                                  
    select_in<=2'b00;
    #100 select_in<=2'b01;  
#100 select_in<=2'b11;  
#100 select_in<=2'b10;  
#100 select_in<=2'b00;  
#100 $stop;  
end                                                    
                                                   
endmodule

运行仿真后为什么会出现如图这样的结果呢?波形出不来呀!!



T6@82[]CO((UZ~HW4ZBI04U.jpg
No pays, no gains.
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

58

主题

499

帖子

4

精华

金牌会员

Rank: 6Rank: 6

积分
1920
金钱
1920
注册时间
2013-11-18
在线时间
268 小时
发表于 2014-3-19 13:08:07 | 显示全部楼层
程序中不要用<=,直接用=号,你还没有搞懂非阻塞赋值跟阻塞赋值的差别
已经放下多年的FPGA,要重新再拾起来,却是如此的陌生
回复 支持 反对

使用道具 举报

13

主题

33

帖子

0

精华

初级会员

Rank: 2

积分
105
金钱
105
注册时间
2012-11-30
在线时间
0 小时
 楼主| 发表于 2014-3-19 16:30:31 | 显示全部楼层
只是testbench中的吧?主程序中的信号赋值不是要用非阻塞赋值吗?改了testbench中的<=可是还是原来那样呀!
No pays, no gains.
回复 支持 反对

使用道具 举报

58

主题

499

帖子

4

精华

金牌会员

Rank: 6Rank: 6

积分
1920
金钱
1920
注册时间
2013-11-18
在线时间
268 小时
发表于 2014-3-19 17:10:39 | 显示全部楼层
select_in的波形有了吧,把程序中的<=也改成=号
已经放下多年的FPGA,要重新再拾起来,却是如此的陌生
回复 支持 反对

使用道具 举报

13

主题

33

帖子

0

精华

初级会员

Rank: 2

积分
105
金钱
105
注册时间
2012-11-30
在线时间
0 小时
 楼主| 发表于 2014-3-19 17:22:56 | 显示全部楼层
select_in的波形也没有,把主程序的也改了,还是什么波形都没有呀!是不是软件出了问题呢!
No pays, no gains.
回复 支持 反对

使用道具 举报

58

主题

499

帖子

4

精华

金牌会员

Rank: 6Rank: 6

积分
1920
金钱
1920
注册时间
2013-11-18
在线时间
268 小时
发表于 2014-3-19 17:34:03 | 显示全部楼层
你查查是不是软件那里没设置好
已经放下多年的FPGA,要重新再拾起来,却是如此的陌生
回复 支持 反对

使用道具 举报

13

主题

33

帖子

0

精华

初级会员

Rank: 2

积分
105
金钱
105
注册时间
2012-11-30
在线时间
0 小时
 楼主| 发表于 2014-3-19 17:54:11 | 显示全部楼层
我觉得应该是软件有问题的!可是找了好久找不出是什么问题!不过还是谢谢你呀!
No pays, no gains.
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-9-30 06:24

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表