OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 6030|回复: 2

Verilog状态机会增加逻辑资源的占用吗

[复制链接]

172

主题

541

帖子

0

精华

论坛元老

Rank: 8Rank: 8

积分
3449
金钱
3449
注册时间
2015-10-5
在线时间
492 小时
发表于 2019-1-15 04:20:36 | 显示全部楼层 |阅读模式
1金钱
同样的程序如果用always@(posedge clk or negedge rst_n)语句来描述是不是占用的逻辑资源会比状态机要小一些
在Verilog中综合时状态机是否会增加逻辑资源的占用?

认真做好笔记....
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

3

主题

1938

帖子

0

精华

资深版主

Rank: 8Rank: 8

积分
5380
金钱
5380
注册时间
2018-10-21
在线时间
1504 小时
发表于 2019-1-16 11:22:43 | 显示全部楼层
这个不一定,还要综合考虑来看,对于比较复杂的流程控制,基本都是使用状态机;即使使用状态机逻辑资源增加一些,资源不那么紧张的情况下,也是值得的。
回复

使用道具 举报

172

主题

541

帖子

0

精华

论坛元老

Rank: 8Rank: 8

积分
3449
金钱
3449
注册时间
2015-10-5
在线时间
492 小时
 楼主| 发表于 2019-1-17 03:11:58 | 显示全部楼层
QinQZ 发表于 2019-1-16 11:22
这个不一定,还要综合考虑来看,对于比较复杂的流程控制,基本都是使用状态机;即使使用状态机逻辑资源增加 ...

quartus综合之后状态机是一个黄色的框框看不到其内部的电路是什么样子,而且我也发现用状态机来描述综合后电路明显大了很多,但是如果不用状态机纯用always的逻辑来描述难度明显大了很多
认真做好笔记....
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-7-8 00:49

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表