OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 2964|回复: 2

SX1278技术资料与模块介绍

[复制链接]
头像被屏蔽

104

主题

112

帖子

0

精华

禁止访问

积分
497
金钱
497
注册时间
2018-6-11
在线时间
85 小时
发表于 2018-10-19 15:34:18 | 显示全部楼层 |阅读模式
正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

17

主题

93

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
451
金钱
451
注册时间
2017-2-1
在线时间
74 小时
发表于 2018-10-22 11:32:27 | 显示全部楼层
Lora模式下可以实现点对点唤醒吗?
回复 支持 反对

使用道具 举报

头像被屏蔽

104

主题

112

帖子

0

精华

禁止访问

积分
497
金钱
497
注册时间
2018-6-11
在线时间
85 小时
 楼主| 发表于 2018-10-23 10:58:23 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-8 17:03

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表