OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
查看: 2968|回复: 1

stm8 指令问题

[复制链接]

4

主题

349

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
1046
金钱
1046
注册时间
2017-5-19
在线时间
335 小时
发表于 2017-7-25 09:18:03 | 显示全部楼层 |阅读模式
1金钱
本帖最后由 wxjhby 于 2017-7-25 09:20 编辑

[mw_shl_code=c,true]vu16 Phase = 0;
Phase = STARTUP_ANGLE;[/mw_shl_code]

[mw_shl_code=asm,true]hase += SineFreq;
        ldw   Y,_Phase
        addw  Y,_SineFreq
        ldw   _Phase,Y[/mw_shl_code]

_Phase 这个和C语言代码里的Phase变量是什么关系呢?

正点原子逻辑分析仪DL16劲爆上市
回复

使用道具 举报

557

主题

11万

帖子

34

精华

管理员

Rank: 12Rank: 12Rank: 12

积分
165002
金钱
165002
注册时间
2010-12-1
在线时间
2102 小时
发表于 2017-7-26 01:49:04 | 显示全部楼层
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则



关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-26 07:32

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

快速回复 返回顶部 返回列表