OpenEdv-开源电子网

 找回密码
 立即注册
正点原子全套STM32/Linux/FPGA开发资料,上千讲STM32视频教程免费下载...
收藏本版 (7)

MSP430专区 今日: 0|主题: 527|排名: 42 

作者 回复/查看 最后发表
已解决 预览 中断标志位人工置1是否会进入中断服务函数 床上被动 2014-7-30 34607 床上被动 2014-7-31 10:14
悬赏 预览 5529测量单极性方波的频率 xyz 2014-7-30 13859 正点原子 2014-7-30 22:40
已解决 预览 一些运算符号的用法 床上被动 2014-7-28 84528 八度空间 2014-7-29 11:45
已解决 预览 MSP430问题 王占雷 2014-7-28 44281 王占雷 2014-7-29 08:59
悬赏 预览 TIMERA时钟中断的一个问题 床上被动 2014-7-28 23781 床上被动 2014-7-29 00:05
预览 TI官网的例程,给大家参考! attachment 艾科 2012-6-17 1613764 娃哈哈 2014-7-26 23:07
悬赏 预览 msp430f5529脉宽测量 xyz 2014-7-24 14885 xiaoyan 2014-7-24 19:56
悬赏 预览 430f5529打点输出正弦波 xyz 2014-7-15 24373 杭电凌云 2014-7-24 19:00
悬赏 预览 硬件IIC 只发送器件地址,不发送数据 attach_img lovin 2014-7-12 14844 正点原子 2014-7-13 00:09
已解决 预览 如何串口读入 写入指针 lovin 2014-7-10 24609 正点原子 2014-7-10 23:38
预览 MSP430F1611用16BIT方式驱动ili9341等LCD attachment Fancy 2014-3-15 35679 娄宇庭 2014-7-9 13:03
已解决 预览 ov7670 拍照存在MSp430的SD卡里面 owensun 2014-6-24 14830 正点原子 2014-6-24 15:01
预览 体验电子元器件商城,赠送AVR ATM 51 开发板和转接板,支持研发 jlcp 2014-6-18 03591 jlcp 2014-6-18 17:39
预览 自制msp430的USB 仿真器问题? attachment 565956807@qq.co 2013-5-17 85492 l456789 2014-6-16 11:49
悬赏 预览 MSP430F1611对音频信号发生器产生的单频信号进行采集,做FFT运算,得到频谱图。求大神指导!! KIMI 2014-6-14 24575 lsj9383 2014-6-15 12:34
预览 小弟新手现需要将一51程序改为msp430f149的程序,求大神帮帮忙啊,任务急需啊 傻瓜丶 2014-4-24 44226 老虎的菜 2014-6-14 21:19
预览 求msp430交流学习群!!! 金星 2013-4-12 45454 l456789 2014-6-14 08:16
悬赏 预览 MSP-EXP430G2 墨夜风清 2014-5-30 13401 正点原子 2014-5-31 01:07
悬赏 预览 关于触摸屏移植过程中,在校正一个小程序始终进不去,求解答下! Fancy 2014-5-17 14128 正点原子 2014-5-17 21:13
悬赏 预览 求楼主帮忙看一下基于430的GPS程序 颜雨 2014-5-17 34822 正点原子 2014-5-17 21:08
悬赏 预览 ov7670和msp430 f149单片机的连接方案 whutliuxiaojun 2014-5-16 34575 正点原子 2014-5-17 20:37
预览 BSL初始化失败 attach_img 李牧林 2012-8-25 821942 通大Ahk1993 2014-5-17 11:13
悬赏 预览 DAC161S997 输出电流不稳定求解决 连志威 2014-5-15 14121 正点原子 2014-5-16 01:51
预览 谁那有关于MSP430G2553的资料啊,要中文的 attachment zr0621 2012-8-2 65492 qxdzhidao 2014-5-6 20:12
预览 msp430g2553视频 弓长天喜 2013-6-25 24915 qxdzhidao 2014-5-6 20:09
预览 LunchPad yanghai1992 2014-3-30 34864 yanghai1992 2014-4-11 23:42
预览 用430f149驱动16*32LED 430f149 2014-3-16 33958 张世民444 2014-4-6 08:52
预览 再给点学习msp430的资料,供大家参考!! attachment 艾科 2012-6-18 66534 笑傲天下 2014-4-3 19:54
预览 求助 rocsun 2014-3-31 13828 古月 2014-3-31 22:27
预览 xpt2046驱动后,在液晶上读取ad采样值没有规律 ? sanxinmi 2014-3-29 25838 正点原子 2014-3-29 18:48
预览 发个牢骚 疯子韩 2014-3-10 43865 疯子韩 2014-3-10 17:24
预览 MSP430F149的仿真和下载最少需要多少根线? attach_img penguin_boar 2014-3-10 54263 mzwhhwj 2014-3-10 12:07
预览 msp430关于外部中断和定时器的几个问题 脚踏实地007 2014-3-7 24404 脚踏实地007 2014-3-7 16:11
预览 想讨个pickit2的固件 因为仿真器总是显示而error0027,不知哪位兄台有 attach_img 小z 2014-3-4 13903 xiaoyan 2014-3-4 17:02
预览 用430内部RTC做万年历的问题 gfy200866 2014-2-14 44480 gfy200866 2014-2-20 09:12
预览 谁用msp430驱动过tft 80/16bit啊,我修改了原子的程序,但不能用啊,怀疑msp430不能驱动tft彩屏?下面是代码,就是液晶的型号也打印不出来啊? liucheng_34 2012-8-5 106289 935738134 2014-1-21 21:49
预览 MSP430能用串口下程序吗? yubanbisheng 2013-8-4 74627 我是谁 2014-1-9 12:13
预览 ACLK=n/a这句话是什么意思? bht890811 2013-12-31 34388 正点原子 2014-1-3 11:05
预览 430怎么能同时出现俩中断? bht890811 2013-12-29 34057 bht890811 2013-12-31 15:23
预览 MSP430F5501,03,10是不是鸡肋?没什么人用吗? grant 2013-6-25 23875 bht890811 2013-12-29 10:51
预览 IAR5.51能对msp430f1232进行编程编译码? attach_img charly 2012-11-8 55315 gtkk_king 2013-12-23 21:26
预览 f5438可以处理视频么,大神 验证码错误 2013-12-14 13529 正点原子 2013-12-14 22:02
预览 已被作者删除 今天天气不好 2013-12-11 03414 今天天气不好 2013-12-11 15:20
预览 msp430的UCOS移植,头文件无法打开 guorong 2013-12-3 33930 guorong 2013-12-3 21:14
预览 MSP430F20XX定时器定时时间的问题 火焰山 2013-11-26 13845 正点原子 2013-11-26 12:09
预览 基于MSP430G2553的一些软件模块 attachment xiaoyan 2012-8-31 106230 crf_crf 2013-11-15 23:35
预览 请教个430问题啊 __小黑先生 2013-10-16 53765 __小黑先生 2013-10-30 20:35
预览 MSP430G2553 ADC疑问 leshak 2013-10-29 23634 leshak 2013-10-29 13:10
预览 立创商城新一轮的优惠活动开始啦 jlcp 2013-10-28 03604 jlcp 2013-10-28 16:36
预览 Launchpad 驱动问题 兰斯洛 2013-4-6 58883 安德雷斯 2013-10-28 14:12
下一页 »
关闭

原子哥极力推荐上一条 /2 下一条

正点原子公众号

QQ|手机版|OpenEdv-开源电子网 ( 粤ICP备12000418号-1 )

GMT+8, 2024-6-9 02:35

Powered by OpenEdv-开源电子网

© 2001-2030 OpenEdv-开源电子网

返回顶部 返回版块